Friday, July 31, 2009
Biasing Circuit in CMOS
Registring layout design
Thursday, July 30, 2009
some problems plz help!
what is FPGA & ASIC?
Wednesday, July 29, 2009
how to test a FPGA Look-up Lable ?
fifo feature
wavelets-verilog program
Tuesday, July 28, 2009
use of configuration declaration
8086 microprocessor & assembly language
transistor as a switch
Monday, July 27, 2009
Lift Motion Control
how to write the code of image in verilog
What is difference between 8085 and 8086 ??
final year project ideas
Sunday, July 26, 2009
Synthesis .....using Design Compiler
Synthesis .....using Design Compiler
How to generate subckt file and device model
How to generate subckt file and device model
Microprocessor Assingment BCA-3SEM
Saturday, July 25, 2009
Could u let me know the working of IC UM66, its pin diagram, and working of IR MUSIC TRANSMITTER AND RECEIVER using IC UM66....
IR MUSIC TRANSMITTER AND RECEIVER
HELP ME IN NEED OF PAPERS ON VLSI NEW DEVICE
Friday, July 24, 2009
Thursday, July 23, 2009
verilog tutorial
verilog tutorial made for all.
what engineering students require from it
what professional require from it http://vlsiforum.brinkster.net
Needs feed back what they need from verilog tutorialhttp://projectfeedback.brinkster.net
extensive workout result good verilog tutorial for you
Wednesday, July 22, 2009
VHDL code for Reed Solomon encoder and decoder
Tuesday, July 21, 2009
cts spec generation
vhdl source code required
1.FPGA-based UDP/IP stacks parallelism for embedded Ethernet connectivity 2.Design and Implementation of CDMA based Communication System in FPGA 3.UART Module for Real Time Application 4.Design and Implementation of 8051 Microcontroller in FPGA 5.Design and Implementation of PIC Microcontroller in FPGA 6.Design and Implementation of RISC Microcontroller in FPGA 7.Design and Verification of Inter IC (I2C) bus controller 8.Speed and Direction control of Stepper Motor Using FPGA 9.Design a Low Power 16-bit Booth Multiplier in FPGA 10.Improving Area Efficiency of FIR Filters Implemented Using Distributed Arithmetic 11.Design a High Speed First-in First-out (FIFO) in FPGA 12.Digital Design of DS-CDMA Transmitter and Receiver Using VHDL and FPGA 13.Design and Implementation of RSA Algorithm on FPGA 14.Design and Implementation of 8085 Microprocessor in FPGA
Monday, July 20, 2009
Please correct my KeyBoard Interface code
Code: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity KB_2 is Port ( FPGAclk,rst : in STD_LOGIC; Lcd_on : in STD_LOGIC; KB_Clk : inout STD_LOGIC; KB_Data : inout STD_LOGIC; sf_ce0 : out STD_LOGIC; rs : out STD_LOGIC; rw : out STD_LOGIC; en : out STD_LOGIC; q_LED : out STD_LOGIC_VECTOR (7 downto 0); q : out STD_LOGIC_VECTOR (3 downto 0)); end KB_2; architecture KB_2 of KB_2 is --********************************************************************************-- --**************************KEY BOARD SIGNAL DECLARATION**************************-- --********************************************************************************-- signal KBD_Temp : STD_LOGIC_VECTOR (7 downto 0):="00000000"; signal KBD_Temp2 : STD_LOGIC_VECTOR (7 downto 0):="00000000"; signal count_KB : INTEGER range 0 to 43; --********************************************************************************-- --**************************LCD SIGNAL DECLARATION********************************-- --********************************************************************************-- signal lcd_temp : STD_LOGIC_VECTOR (5 downto 0); signal lcd_stuff : STD_LOGIC_VECTOR (6 downto 0) := "0000000" ; signal lcd_count : STD_LOGIC_VECTOR (26 downto 0):= "000000000000000000000000000"; signal lcd_en : STD_LOGIC := '0' ; signal b : STD_LOGIC := '1' ; begin --**********************************************************************************-- --****************************Scan Code Detection Process*************************-- --**********************************************************************************-- Process Begin wait until KB_Clk='0' and KB_Clk'event; count_KB <= count_KB+1; if (count_KB >= 1 and count_KB < count_kb ="10)" fpgaclk="'1'">KBD_Temp2<=X"41"; --A when X"32"=>KBD_Temp2<=X"42"; --B when X"21"=>KBD_Temp2<=X"43"; --C when X"23"=>KBD_Temp2<=X"44"; --D when X"24"=>KBD_Temp2<=X"45"; --E when X"2B"=>KBD_Temp2<=X"46"; --F when X"34"=>KBD_Temp2<=X"47"; --G when X"33"=>KBD_Temp2<=X"48"; --H when X"43"=>KBD_Temp2<=X"49"; --I when X"3B"=>KBD_Temp2<=X"4A"; --J when X"42"=>KBD_Temp2<=X"4B"; --K when X"4B"=>KBD_Temp2<=X"4C"; --L when X"3A"=>KBD_Temp2<=X"4D"; --M when X"31"=>KBD_Temp2<=X"4E"; --N when X"44"=>KBD_Temp2<=X"4F"; --O when X"4D"=>KBD_Temp2<=X"50"; --P when X"15"=>KBD_Temp2<=X"51"; --Q when X"2D"=>KBD_Temp2<=X"52"; --R when X"1B"=>KBD_Temp2<=X"53"; --S when X"2C"=>KBD_Temp2<=X"54"; --T when X"3C"=>KBD_Temp2<=X"55"; --U when X"2A"=>KBD_Temp2<=X"56"; --V when X"1D"=>KBD_Temp2<=X"57"; --W when X"22"=>KBD_Temp2<=X"58"; --X when X"35"=>KBD_Temp2<=X"59"; --Y when X"1A"=>KBD_Temp2<=X"5A"; --Z when X"0E"=>KBD_Temp2<=X"60"; --` when X"16"=>KBD_Temp2<=X"31"; --1 when X"1E"=>KBD_Temp2<=X"32"; --2 when X"26"=>KBD_Temp2<=X"33"; --3 when X"25"=>KBD_Temp2<=X"34"; --4 when X"2E"=>KBD_Temp2<=X"35"; --5 when X"36"=>KBD_Temp2<=X"36"; --6 when X"3D"=>KBD_Temp2<=X"37"; --7 when X"3E"=>KBD_Temp2<=X"38"; --8 when X"46"=>KBD_Temp2<=X"39"; --9 when X"45"=>KBD_Temp2<=X"30"; --0 when X"55"=>KBD_Temp2<=X"3D"; --= when X"4E"=>KBD_Temp2<=X"2D"; --- when X"54"=>KBD_Temp2<=X"5B"; --[ when X"5B"=>KBD_Temp2<=X"5D"; --] when X"4C"=>KBD_Temp2<=X"3B"; --; when X"52"=>KBD_Temp2<=X"27"; --' when X"41"=>KBD_Temp2<=X"2C"; --, when X"49"=>KBD_Temp2<=X"2E"; --. when X"4A"=>KBD_Temp2<=X"2F"; --/ when X"29"=>KBD_Temp2<=X"20"; --space when others=>null; end case; q_LED <= KBD_Temp2; end if; end process; --********************************************************************************-- --++++++++++++++++++++++++++LCD Initialisation Process++++++++++++++++++++++++++++-- --********************************************************************************-- process begin wait until FPGAclk ='1' and FPGAclk'event; lcd_count <=lcd_count + "0000000000000000000000001"; sf_ce0 <= '1'; case lcd_count(24 downto 18) is --++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++-- --*************************LCD Commands for Initialisation************************-- --++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++-- when "0000000" => lcd_temp <= "000011";--3 when "0000001" => lcd_temp <= "000011";--3 when "0000010" => lcd_temp <= "000011";--3 when "0000011" => lcd_temp <= "000010";--2 when "0000100" => lcd_temp <= "000010"; when "0000101" => lcd_temp <= "001000";--28 when "0000110" => lcd_temp <= "000000"; when "0000111" => lcd_temp <= "000110";--06 when "0001000" => lcd_temp <= "000000"; when "0001001" => lcd_temp <= "001100";--0c when "0001010" => lcd_temp <= "000000"; when "0001011" => lcd_temp <= "000001";--01 when "0001100" => lcd_temp <= "001000"; when "0001101" => lcd_temp <= "000000";--80 1st line addr when "0001110" => lcd_temp <= "000000"; when "0001111" => lcd_temp <= "001100";--return cursor home --++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++-- --*************************Sending Data To LCD************************************-- --++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++-- when "0011000" => lcd_temp(5 downto 4) <= "10"; lcd_temp(3 downto 0) <=KBD_Temp2(7 downto 4); when "0011001" => lcd_temp(5 downto 4) <= "10"; lcd_temp(3 downto 0) <=KBD_Temp2(3 downto 0); when "0011010" => lcd_temp(5 downto 4) <= "10"; lcd_temp(3 downto 0) <="0010"; when "0011011" => lcd_temp(5 downto 4) <= "10"; lcd_temp(3 downto 0) <="0000"; -- space when "0011100" => lcd_temp(5 downto 4) <= "10"; lcd_temp(3 downto 0) <="0010"; when "0011101" => lcd_temp(5 downto 4) <= "10"; lcd_temp(3 downto 0) <="0000"; -- space when others =>lcd_temp <= "010000"; end case; --++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++-- --*************************LCD enable pin operation & assigning data to output****-- --++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++-- b<= lcd_count(17) or lcd_count(16); lcd_en <= b and not lcd_temp(4);--lcd enable pin process lcd_stuff(6) <= lcd_en; lcd_stuff(5 downto 0) <= lcd_temp; (en,rs,rw,q(3),q(2),q(1),q(0)) <= lcd_stuff; end process; end KB_2;
verilog code contest http://vlsiforum.brinkster.net
VERILOG code contest
very competitive have fun
graduate engineer studying should follow it .learn and skill to become expert and reach to any MNC.
VHDL code contest http://vlsiforum.brinkster.net
VHDL code contest
very competitive have fun
graduate engineer studying should follow it .learn and skill to become expert and reach to any MNC.
Sunday, July 19, 2009
Saturday, July 18, 2009
object detecting sensor
Friday, July 17, 2009
VHDL code for Reed Solomon encoder and decoder
Reed solomon(255,239) encoder in VHDL code
8 8-bit ALU register
We shall call this Register_ALU: • the design will contain 8 8-bit registers which can be loaded from an external input; • the design will contain a block of logic which will have two 8-bit inputs A[7..0] and B[7..0], and will produce one 8-bit output C[7..0]; • the output will be formed as o A and B, or o A or B, or o A plus B, or o A minus B; • the operation {logical and, logical or, arithmetic plus, arithmetic minus} will be selected by an external input to the design, called Op_Code[1..0]; • the output C[7..0] can be o loaded back into one of the eight internal registers, or o read externally.
please send me the code for this problem
Thursday, July 16, 2009
super buffer with interconnects
Wednesday, July 15, 2009
size NMOS and PMOS to increase Vt
I2C protocol implementation in verilog & VHDL
Scaling models formulated by Dennard
differential to single ended converter
Monday, July 13, 2009
output impedence of cs amplifier
output impedence of cs amplifier
output impedence of cs amplifier
cadence virtuso -spectre simulator
Related with memory
Saturday, July 11, 2009
How to download VHDL code into FPGA
fpga code of dmb-th transmitter for sail
Thursday, July 9, 2009
Sending to/receiving data from USB port
Regarding selecting my major ANALOG or DIGITAL DEG
Wednesday, July 8, 2009
transmission gate in cmos design
JK flip flop gate level verilog code
JK flip flop gate level verilog code
Tuesday, July 7, 2009
Is Generate statement is Synthasizable
Selected Device : 2v40cs144-6 Number of Slices: 0 out of 256 0% Number of IOs: 18 Number of bonded IOBs: 18 out of 88 20% -------------------------------------------------------------------------------------- Design: library IEEE; use IEEE.std_logic_1164.all; entity systolic_algorithm is generic ( DATA_WIDTH : integer := 8 ); Port( clk : in std_logic; en : in std_logic; din : in std_logic_vector(DATA_WIDTH-1 downto 0); dout : out std_logic_vector(DATA_WIDTH-1 downto 0) ); end systolic_algorithm; architecture Behavioral of systolic_algorithm is component systolic_pe is generic ( DATA_WIDTH : integer := 8 ); Port( clk : in std_logic; en : in std_logic; din : in std_logic_vector(DATA_WIDTH-1 downto 0); dout : out std_logic_vector(DATA_WIDTH-1 downto 0) ); end component; constant N : integer := 3; type locsignal_type is array(N-1 downto 0) of std_logic_vector(DATA_WIDTH-1 downto 0); signal locsignal : locsignal_type; begin u0: for i in 0 to N-1 generate u10: if (i = 0) generate u11: systolic_pe port map( clk => clk, en => en, din => din, dout=> locsignal(0)); end generate u10; u20: if ((i > 0) and (i < clk =""> clk, en => en, din => locsignal(i-1), dout=> locsignal(i)); end generate u20; u30: if (i = N-1) generate u33: systolic_pe port map( clk => clk, en => en, din => locsignal(i), dout=> dout); end generate u30; end generate u0; end Behavioral;