Monday, April 26, 2010

7segment

I need to display different numbers on the 7segments that are on the fpga according to the input I have. For example if I want to display the number 250, 2 on the 1st segment, 5 on the 2nd and 0 on the 3rd... How do I do it in VHDL pls?

Till now I am only capable of displaying the same number on all of the segments. My fpga is a spartan 3e-100

If anyone could help me I would really appreciate.

No comments:

Post a Comment