Wednesday, September 23, 2009

how to gve delay to rotate stepper motor

..plz can any body suggest me to how modify it work succesfully entity step3 is Port (clk : in STD_LOGIC; dout : out STD_LOGIC_VECTOR (3 downto 0)); end step3; architecture Behavioral of step3 is signal count:std_logic_vector(15 downto 0):=x"0000"; constant dl:time:=3 ms; begin process(clk) begin if(rising_edge(clk))then count<=count+1; dout<="1010"after dl; dout<="1001"after dl; dout<="0101"after dl; dout<="0110"after dl; if(count>x"B71B0")then count<=x"0000"; end if; end if; end process; end Behavioral;

No comments:

Post a Comment