Wednesday, August 12, 2009

WARNING:Xst:647 - Input is never used.

i dont understand why it showing the particular error; answer with reason? entity rising_edgefuntion is port (clk : in std_logic; a : in std_logic; b : out std_logic); function sathish_falling_edge(signal s: std_logic) return boolean is begin if (s'event) and (s = '0') and (s'last_value= '1') then return true; else return false; end if; end sathish_falling_edge; end rising_edgefuntion; architecture Behavioral of rising_edgefuntion is begin process(clk,a) begin if sathish_falling_edge(clk) then b <= a; end if; end process; end Behavioral;

No comments:

Post a Comment