Monday, June 15, 2009

USING RAM VHDL code

can anyone explain how the RAM work in vhdl code and I want 256-byte ram and how can I fill it from 0 to 255

No comments:

Post a Comment